CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - 正弦信号 频率

搜索资源列表

  1. PC1490

    0下载:
  2. 用C51单片机做的防盗报警器测试程序,主要实现AC/DC电压测试,频率测试,电流测试,正弦信号发生,数字IN/OUT等功能!-C51 microcontroller do with the anti-theft alarm testing procedures, main AC / DC voltage testing frequency of testing, current tests, sinusoidal signal, digital IN / OUT function!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:9469
    • 提供者:万平
  1. 9851_serial

    0下载:
  2. 利用凌阳单片机SPCE061A控制AD9851,以产生频率相位可控的正弦信号-use Sunplus SPCE061A control AD9851, to produce controllable phase frequency sinusoidal signal
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:5361
    • 提供者:田世坤
  1. pack11_emd

    0下载:
  2. HHT是历史上首次对Fourier变换的基本信号和频率定义作的创造性的改进。他们不再认为组成信号的基本信号是正弦信号,而是一种称为固有模态函数的信号-HHT is the first time in the history of the Fourier transform basic definition signals and frequencies for creative change Progressive. They will no longer signal that the bas
  3. 所属分类:语音合成与识别

    • 发布日期:2008-10-13
    • 文件大小:100836
    • 提供者:杯中赏月
  1. FPGA--DDS-PhaseMeasure

    1下载:
  2. Verilog实现的DDS正弦信号发生器和测频测相模块,DDS模块可产生两路频率和相位差均可预置调整的值正弦波,频率范围为20Hz-5MHz,相位范围为0°-359°,测量的数据通过引脚传输给单片机,单片机进行计算和显示。
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:1371610
    • 提供者:haoren
  1. Two

    0下载:
  2. 离散信号处理 1、信号的生成方法 2、频域信号的分析 3、求正弦信号的频率 4、求正弦信号的加入时间 5、滤波器的设计
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:2392
    • 提供者:tengfang
  1. eda

    1下载:
  2. fpga的应用,一个正弦信号发生器,可以调节频率大小,和PSK,QSK,FM,AM等调制
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:7000368
    • 提供者:lijie
  1. thefrequencymeterdesign

    0下载:
  2. 简易数字频率计题解.( 1997年 B 题 ) 编写与讲解人:田良(东南大学无线电系,2003年3月12日) 一)任务 设计并制作一台数字显示的简易频率计。 (二)要求 1.基本要求 (1)频率测量 a.测量范围 信号:方波、正弦波 幅度:0.5V~5V[注] 频率:1Hz~1MHz b.测试误差≤0.1% (2)周期测量 a.测量范围 信号:方波、正弦波 幅度:0.5V~5V[注] 频率:1Hz~1MHz b.测
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2008-10-13
    • 文件大小:356317
    • 提供者:caorui
  1. phase

    1下载:
  2. 设计了一基于现场可编程门阵列(FPGA)的低频数字式相位测量仪。该测量仪包括数字式移相信号发生器和相位测量仪两部分,分别完成移相信号的发生及其频率、相位差的预置及数字显示、发生信号的移相以及移相后信号相位差和频率的测量与显示几个功能。其中数字式移相信号发生器可以产生预置频率的正弦信号,也可产生预置相位差的两路同频正弦信号,并能显示预置频率或相位差值;相位测量仪能测量移相信号的频率、相位差的测量和显示。两个部分均采用基于FPGA的数字技术实现,使得该系统具有抗干扰能力强, 可靠性好等优点。
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:604656
    • 提供者:郑淑琴
  1. chengxu3

    3下载:
  2. 用Matlab产生正弦波,矩形波,以及白噪声信号,并显示各自时域波形图 2.进行FFT变换,显示各自频谱图,其中采样率,频率、数据长度自选 3.做出上述三种信号的均方根图谱,功率图谱,以及对数均方根图谱 4.用IFFT傅立叶反变换恢复信号,并显示恢复的正弦信号时域波形图
  3. 所属分类:图形图像处理(光照,映射..)

    • 发布日期:2008-10-13
    • 文件大小:1248
    • 提供者:river
  1. tx

    0下载:
  2. 产生一个幅度为1和频率为1的正弦信号,用均匀pcm方法分别用8电平和16电平进行量化,在同一坐标轴上画出原信号和以量化信号,比较这两种情况下的sqnr.
  3. 所属分类:多媒体

    • 发布日期:2008-10-13
    • 文件大小:9870
    • 提供者:秦承峰
  1. dds

    0下载:
  2. DDS正弦信号发生器 频率和相位连续可调。频率最大2M
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3806
    • 提供者:dsf
  1. DDS

    0下载:
  2. 利用EDA技术和FPGA在UP3开发板上实现直接数字频率综合器的设计。 实验中加入了相位控制字PWORD,用以控制相位偏移量的前四位,将相位偏移量加到ROM地址总线 上,从而引起从ROM中取得的正弦信号的偏移,实现移相信号发生器的移相功能。 实验中还加入了LCD显示功能,通过LCD显示模块器件,用LCD显示正弦信号的频率,所显示的频 率也是由频率字控制的。LCD的驱动原理同上次实验。
  3. 所属分类:波变换

    • 发布日期:2008-10-13
    • 文件大小:1225901
    • 提供者:Emma
  1. sin_ok

    0下载:
  2. TMS320V5402 利用定时器中断产生正弦信号,最高频率300KHZ
  3. 所属分类:DSP编程

    • 发布日期:2008-10-13
    • 文件大小:50602
    • 提供者:junxingz
  1. 基于FPGA的直接数字合成器设计

    0下载:
  2. 1、 利用FLEX10的片内RAM资源,根据DDS原理,设计产生正弦信号的各功能模块和顶层原理图; 2、 利用实验板上的TLC7259转换器,将1中得到的正弦信号,通过D/A转换,通过ME5534滤波后在示波器上观察; 3、 输出波形要求: 在输入时钟频率为16KHz时,输出正弦波分辨率达到1Hz; 在输入时钟频率为4MHz时,输出正弦波分辨率达到256Hz; 4、 通过RS232C通信,实现FPGA和PC机之间串行通信,从而实现用PC机改变频率控制字,实现对输出正弦波频率的控制。-a use
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:22183
    • 提供者:竺玲玲
  1. xinhaofashengqi.rar

    0下载:
  2. 简易信号发生器,可产生正弦波、方波、三角波,幅度、频率都可调节。,Simple signal generator can produce sine, square, triangle wave, amplitude, frequency adjustment can be.
  3. 所属分类:SCM

    • 发布日期:2017-03-29
    • 文件大小:243412
    • 提供者:苏维
  1. DSP1.zip

    0下载:
  2. 1)生成2KHz和8KHz的混合信号,使该信号通过上述滤波器,观察滤波前后的波形变化和频谱分布情况。 2) 在改信号中加入高斯白噪声,观察滤波前后的波形变化和频谱分布情况3) 将上述滤波器改为中心频率为100KHz的带通滤波器,信号源为带外的90KHz和带内的100KHz的混合正弦信号,。 4) 用M文件实现上述低通滤波器。 ,1) 2KHz and 8KHz to generate the mixed-signal, so that the signal through the abov
  3. 所属分类:Communication-Mobile

    • 发布日期:2017-03-29
    • 文件大小:62399
    • 提供者:ran
  1. DDS.rar

    0下载:
  2. 本设计基于数字频率合成技术,采用正弦查找表实现波形产生.直接数字频率合成技术(DDS)是一种先进的电路结构,能在全数字下对输出信号频率进行精确而快速的控制,DDS技术还在解决输出信号频率增量选择方面具有很好的应用,DDS所产生的信号具有频率分辨率高、频率切换速度快、频率切换时相位连续、输出相位噪声低和可以产生任意波形等诸多优点。 文中介绍了DDS的基本原理,对DDS的质谱及其散杂抑制进行了分析。程序设计采用超高速硬件描述语言VHDL描述DDS,在此基础上设计了正弦波、三角波、方波等信号
  3. 所属分类:Project Design

    • 发布日期:2017-03-24
    • 文件大小:312334
    • 提供者:
  1. ddfs.rar

    0下载:
  2. 基本FPGA的DDS信号发生器,可产生1-1MHZ任意频率的三角波,方波,锯齿波,正弦波,Basic FPGA-DDS signal generator, can produce 1-1MHZ arbitrary frequency triangle wave, square wave, sawtooth, sine wave
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:1373660
    • 提供者:吴宏伟
  1. DSP_sin

    0下载:
  2. 使用DSP产生300—4000HZ的正弦信号,要求使用计算法,并且频率可变、幅度可变、直流分量可变。用软件CCS5000编程实现,并硬件(DSK板或示波器)连接进行功能演示。 发挥部分:使用DSP产生300—4000HZ的方波和三角波。 -Using DSP to produce 300-to 4000HZ the sine signal, require the use of calculation method, and a variable frequency, amplitude
  3. 所属分类:DSP program

    • 发布日期:2017-04-24
    • 文件大小:397317
    • 提供者:liulei
  1. main

    0下载:
  2. 传统的宽带信号中抑制正弦干扰的方法是采用陷波器(notch filter),为此我们需要精确知道干扰正弦的频率.然而当干扰正弦频率是缓慢变化时,且选频率特性要求十分尖锐时,则最好采用自适应噪声抵消的方法.用一个二阶FIR的LMS自适应滤波器消除正弦干扰的一个方案。 -Wideband signals in the traditional sinusoidal interference suppression method is to use a notch filter (notch fil
  3. 所属分类:Other windows programs

    • 发布日期:2017-03-24
    • 文件大小:1045
    • 提供者:wangming
« 1 2 3 4 56 7 8 9 10 ... 27 »
搜珍网 www.dssz.com